Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
Next revision
Previous revision
config:mbdyn [2016/04/22 13:50] – added keywords louisgagconfig:mbdyn [2020/01/10 09:02] (current) – adding math keywords, Groups def, and run (compile) command louisgag
Line 6: Line 6:
 [Extensions] [Extensions]
 MBDyn=*.mbd;*.ref;*.nod;*.elm;*.set; MBDyn=*.mbd;*.ref;*.nod;*.elm;*.set;
 +[Groups]
 +Markup=MBDyn
 </file> </file>
  
 Save the configuration file below in your Geany filetype definition files config directory, e.g. //~/.config/geany/filedefs/filetypes.MBDyn.conf// Save the configuration file below in your Geany filetype definition files config directory, e.g. //~/.config/geany/filedefs/filetypes.MBDyn.conf//
- +(NB: Note that this filetype definition file is in constant development, meaning it can be used but may need improvement.)
-NB: Note that this filetype definition file is in development, meaning it can be used but needs improvement.+
  
 <file properties filetypes.MBDyn.conf> <file properties filetypes.MBDyn.conf>
-# For complete documentation of this file, please see Geany's main documentation +    # For complete documentation of this file, please see Geany's main documentation 
-# Using the Perl lexer seems to be the best option to have both # single line and /* multiline comment work, multiline comments however still suffer when / ar present within them+    # Using the Perl lexer seems to be the best option to have both # single line and /* multiline comment work, multiline comments however still suffer when / are present within them 
 [styling] [styling]
-# Edit these in the colorscheme .conf file instead+    # Edit these in the colorscheme .conf file instead
 default=default default=default
 error=error error=error
Line 47: Line 49:
 string_qw=string_2 string_qw=string_2
 variable_indexer=default variable_indexer=default
-# *_var mappings may need checking+    # *_var mappings may need checking
 string_var=identifier_1 string_var=identifier_1
 regex_var=identifier_2 regex_var=identifier_2
Line 57: Line 59:
 string_qx_var=identifier_2 string_qx_var=identifier_2
 string_qr_var=identifier_2 string_qr_var=identifier_2
-# translation: tr{}{} y{}{}+    # translation: tr{}{} y{}{}
 xlat=string_2 xlat=string_2
-# not used+    # not used
 punctuation=default punctuation=default
-# obsolete: replaced by qq, qx, qr, qw+    # obsolete: replaced by qq, qx, qr, qw
 longquote=here_doc longquote=here_doc
 sub_prototype=here_doc sub_prototype=here_doc
 format_ident=string_2 format_ident=string_2
 format=string_2 format=string_2
 +     
  
 [keywords] [keywords]
-primary=structural reference asbtract node body drive caller driver diag null eye string genel matrix amplitude gravity uniform single angular velocity acceleration axial rotation revolute hinge cardano brake pin clamp coincidence deformable displacement joint distance gimbal in line plane kinematic linear velocity acceleration plane prismatic rod total bezier spherical viscous abstract algebraic array state space SISO MIMO a b c differential air properties const file data control iterations max output meter steps forever netcdf elements  position component absolute integer ramp include force results default initial value rigid loadable aerodynamic genels drivers global dynamic variable step interpolation pad zeroes no mult orientation element user defined induced jacobian c81 real cosine driven constraint active inactive condense inertial inertia beam3 accelerations yes proportional damping origin alpha first angle units degrees problem all  module load cyclocopter delay omegacut kappa timestep polimi inflow time naive colamd nonlinear solver newton raphson modified time method tolerance none derivatives assembly skip hydraulic beams hydraulic static beam  viscoelastic generic inline super compressible incompressible thermal exponential orifice flow valve density fluid uncompressible final same elastic follower half sine dof ms offset direct parameter couple bind with offset coefficient rotor eta correction crank nicholson couple isotropic spring support bodies joints nodes bodies forces sync notext sometext print equation description residual umfpack threads disable integrator scalar filter balance canonical form observable gain function piecewise custom other mt list modal aircraft instruments scale variant ring tire min change strategy lapack klu superlu map pivot factor drop euler123 euler313 euler321 vector test norm modify implicit euler bdf order third hope minmax keep honor requests+primary=a abs absolute abstract acceleration accelerations acos acosh actan actan2 actanh active aerodynamic air aircraft algebraic all alpha amplitude angle anglerel angular angvel angvrel angvrel2 array asbtract asin asinh assembly atan atan2 atanh axial b balance bdf beam beam3 beams bezier bind bodies body brake c c81 caller canonical cardano cc ceil change clamp coefficient coincidence colamd component compressible condense const constraint control copysign correction cos cosh cosine couple crank ctan ctanh current custom cyclocopter damping data default defined deformable deg2rad degrees delay density derivatives description diag differential direct disable displacement distance distance2 dof double drive driven driver drivers drop dynamic e elastic element elements equation eta euler euler123 euler313 euler321 exp exponential eye factor FALSE file filter final first floor flow fluid follower force forces forever form from ft2m function gain genel genels generic gimbal global gravity half hinge honor hope hydraulic implicit in in2m in2mm inactive include incompressible induced in_ee in_el inertia inertial inflow initial in_le inline in_ll instruments integer integrator interpolation INT_MAX INT_MIN isotropic iterations jacobian joint joints kappa keep kg2lb kg2slug kinematic klu lapack lb2kg line linear list load loadable log log10 m2ft m2in map matrix max meter method MIMO min minmax mm2in modal modified modify module moment ms mt mult naive netcdf newton nicholson no node nodes none nonlinear norm notext null observable offset omegacut order orientation orifice origin other output pad par parameter pi piecewise pin pivot plane polimi position position2 print prismatic problem properties proportional rad2deg ramp rand RAND_MAX random raphson real REAL_MAX REAL_MIN reference requests residual results revolute rigid ring rod rotation rotor round same scalar scale seed sign sin sine single sinh SISO skip slug2kg solver sometext space spherical spring sprintf sqrt sramp state static step Step steps stop strategy string structural super superlu support sync tan tanh test thermal third threads time Time timestep TimeStep tire tolerance total TRUE umfpack uncompressible uniform units user value valve Var variable variant vector velocity velocity2 viscoelastic viscous vrel vrel2 with xangle xanglerel xangvel xangvrel xdistance xposition xunitvec xvelocity xvrel yangle yanglerel yangvel yangvrel ydistance yes yposition yunitvec yvelocity yvrel zangle zanglerel zangvel zangvrel zdistance zeroes zposition zunitvec zvelocity zvrel
  
 [lexer_properties] [lexer_properties]
 styling.within.preprocessor=0 styling.within.preprocessor=0
 +     
  
 [settings] [settings]
-# default extension used when saving files+    # default extension used when saving files
 extension=mbd extension=mbd
 lexer_filetype=Perl lexer_filetype=Perl
Line 80: Line 84:
 fold.perl.comment.explicit=0 fold.perl.comment.explicit=0
 fold=0 fold=0
- +      
-# the following characters are these which a "word" can contains, see documentation +    # the following characters are these which a "word" can contains, see documentation 
-#wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789 +    #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789 
- +      
-# single comments, like # in this file+    # single comments, like # in this file
 comment_single=# comment_single=#
-# multiline comments+    # multiline comments
 comment_open=/* comment_open=/*
 comment_close==*/ comment_close==*/
- +      
-# set to false if a comment character/string should start at column 0 of a line, true uses any +    # set to false if a comment character/string should start at column 0 of a line, true uses any 
-# indentation of the line, e.g. setting to true causes the following on pressing CTRL+d +    # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d 
- #command_example(); +     #command_example(); 
-# setting to false would generate this +    # setting to false would generate this 
-# command_example(); +    # command_example(); 
-# This setting works only for single line comments+    # This setting works only for single line comments
 comment_use_indent=false comment_use_indent=false
- +      
-# context action command (please see Geany's main documentation for details)+    # context action command (please see Geany's main documentation for details)
 context_action_cmd= context_action_cmd=
 +     
  
 [indentation] [indentation]
-#width=4 +    #width=4 
-# 0 is spaces, 1 is tabs, 2 is tab & spaces +    # 0 is spaces, 1 is tabs, 2 is tab & spaces 
-#type=1+    #type=1 
 + 
 + 
 +[build-menu] 
 + FT_00_LB=Run 
 + FT_00_CM=mbdyn %f 
 + FT_00_WD=
 </file> </file>
 +
  
 {{tag>configure MBDyn filetype}} {{tag>configure MBDyn filetype}}
Print/export