# For complete documentation of this file, please see Geany's main documentation [styling] # foreground;background;bold;italic default=0x000000;0xffffff;false;false comment=0x808080;0xffffff;false;false number=0x007f00;0xffffff;false;false string=0xff901e;0xffffff;false;false operator=0x000000;0xffffff;false;false identifier=0x880000;0xffffff;false;false cpuinstruction=0x111199;0xffffff;true;false mathinstruction=0x7f0000;0xffffff;true;false register=0x000000;0xffffff;true;false directive=0x3d670f;0xffffff;true;false directiveoperand=0xff901e;0xffffff;false;false commentblock=0x808080;0xffffff;false;false character=0xff901e;0xffffff;false;false stringeol=0x000000;0xe0c0e0;false;false extinstruction=0x007f7f;0xffffff;false;false [keywords] # all items must be in one line # this is AVR assembler directives instructions= add adc adiw sub subi sbc sbci sbiw and andi or ori eor com neg sbr cbr inc dec tst clr ser mul rjmp ijmp jmp rcall icall call ret reti cpse cp cpc cpi sbrc sbrs sbic sbis brbs brbc breq brne brcs brcc brsh brlo brmi brpl brge brlt brhs brhc brts brtc brvs brvc brie brid mov movw ldi lds ld ldd sts st std lpm in out push pop lsl lsr rol ror asr swap bset bclr sbi cbi bst bld sec clc sen cln sez clz sei cli ses cls sev clv set clt seh clh nop sleep wdr registers= r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 r23 r24 r25 r26 r27 r28 r29 r30 r31 X Y Z directives=.byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list .listmac .macro .nolist .org .set [settings] # default extension used when saving files extension=asm # the following characters are these which a "word" can contains, see documentation #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789 # single comments, like # in this file comment_single=; # multiline comments #comment_open= #comment_close= # set to false if a comment character/string should start at column 0 of a line, true uses any # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d #command_example(); # setting to false would generate this # command_example(); # This setting works only for single line comments comment_use_indent=true # context action command (please see Geany's main documentation for details) context_action_cmd= [build_settings] # %f will be replaced by the complete filename # %e will be replaced by the filename without extension # (use only one of it at one time) compiler=avra "%f"